CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - bcd VHDL

搜索资源列表

  1. bcd_to_binary

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bcd码转bin-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, code switch bin bcd
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2558
    • 提供者:秦拣俭
  1. binary_to_bcd

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bin转bcd-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, bin turn bcd
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2316
    • 提供者:秦拣俭
  1. bcd-7seg

    0下载:
  2. Create a VHDL code representation of a BCD-to-Seven segment decoder. bcd 7 segment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7755
    • 提供者:zra syaf
  1. BCD-adder

    0下载:
  2. 用VHDL语言设计一个BCD码加法器,输入A[3..0]、B[3..0],输出为SUM[4..0]。-bcd adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2687
    • 提供者:王小雨
  1. Count-display-circuit-design(VHDL)

    0下载:
  2. 用VHDL语言设计计数显示电路。设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)-VHDL language to count the display circuit. The design output for display circuit 3 BCD count. Consists of three modules: the decimal counter (BCD_CNT), time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:46405
    • 提供者:hhsyla
  1. Here-is-a-program-for-BCD-to-7

    0下载:
  2. vhdl coding for ldpc
  3. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:29189
    • 提供者:siva
  1. BCD-youxianbianma

    0下载:
  2. 优先编码器,通过VHDL语言实现BCD优先编码的功能-Priority encoder BCD priority encoder function through VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:693
    • 提供者:victor
  1. bcd

    0下载:
  2. 十进制转换为BCD码,可以用于数字钟的设计,及其涉及到LED显示的程序中去,是VHDL的-Converted to decimal BCD code, can be used in the design of the digital clock, LED display program involves VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:904
    • 提供者:sherry
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. BCD-ENCODER

    0下载:
  2. VHDL program for “Decimal To BCD Encoder” behavioral design in Xilinx integrated software environment
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:815
    • 提供者:rajapraba
  1. VHDL

    0下载:
  2. VHDL小程序,其中包含了bcd码转换成格雷码、寄存器的简单设计(并入串出移位寄存器、串入串出移位寄存器)以及脉冲发生器的VHDL实现。适合于基础的VHDL入门。-VHDL small program, which includes a bcd code into Gray code, register for a simple design (String into a shift register, the string into the string out of the shift re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:304120
    • 提供者:鸿雨
  1. 1.-VHDL-Code-For-BCD-To-Decimal-Decoder-By-Data-F

    0下载:
  2. 1. VHDL Code For BCD To Decimal Decoder By Data Flow Modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:45138
    • 提供者:rik
  1. VHDL-Code-For-BCD-To-Excess3--Code-Converter-By-D

    0下载:
  2. VHDL Code For BCD To Excess3 Code Converter By Data Flow Modelling-VHDL Code For BCD To Excess3 Code Converter By Data Flow Modelling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:38522
    • 提供者:rik
  1. bcd

    0下载:
  2. 这是一个在vhdl中BCD的编程代码 为了可以让它更直观的表现出来 我们最后用7seg的方式 让其表示出来 把结果更加直观的呈现-This is a BCD in vhdl programming code in order to be able to make it more intuitive performance out of our way to let it finally 7seg represented more intuitive presentation of the res
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5178
    • 提供者:zhangzicong
  1. xs3-bcd

    0下载:
  2. VHDL CODE FOR XS-3 TO BCD CONVERTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:988154
    • 提供者:pinky
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
  1. bcd_to_dec

    0下载:
  2. VHDL code for converting BCD to Decimal
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:160768
    • 提供者:spiegel
  1. 1

    1下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,序列检测器的设计,一般状态机等等。(VHDL code, some textbooks for small programs. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3 prio
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:453632
    • 提供者:zidting
  1. 2

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字时钟,序列检测器的设计,一般状态机等等。(VHDL code, some textbooks for small programs. It includes 3 line -8 line decoder, 4 selector 1 selector, 6 elevator, 8 line -3 encoder, 8 l
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:454656
    • 提供者:zidting
  1. 分频显示

    0下载:
  2. VHDL实验中,实现分频与数码管显示。掌握BCD-七段显示译码器的功能和设计方法; 掌握用硬件描述语言的方法设计组合逻辑电路——BCD-七段显示译码器。(In the VHDL experiment, frequency division and digital tube display are realized.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21229568
    • 提供者:Maggie0104
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com